More Gotchas!


You must add #include "xil_io.h" to the C file referenced in step (bb) of Exercise 4A in order to use xil_printf().

Other relevant tips from the past:

Missing bus parameter: http://dr-j-digital-fun.blogspot.com/2017/04/ece-440-ipflow-19-3153-bus-interface.html

Missing drivers: http://dr-j-digital-fun.blogspot.com/2018/04/ece-440-missing-drivers.html and http://dr-j-digital-fun.blogspot.com/2018/04/ece-440-re-missing-drivers.html